논리 게이트 논리 게이트 (AND, OR ,NOT 등)을 VHDL로 구현해보자. 12345678910111213141516171819202122232425library ieee;-- VHDL은 IEEE 국제 표준을 사용한다. use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity and_gate is-- Entity 선언부 는 설계하고자 하는 시스템의 외적 연결을 담당 한다.-- 회로의 내부적인 구조나 연결등을 고려할 필요가 없으며, -- 여기서 정의한 것을 통해 다음 Architecture Body 에서 내부적 동작을 표현한다.-- 즉, 입출력 선을 정의 하는 부분이다. port( ..
VHDL이란? VHSIC Hardware Description Language의 약자로 VHSIC은 또 Very High Speed Integrated Circuits의 약자이다. 디지털 회로를 표현하는 하드웨어 기술 언어이다. 탄생배경 VHDL은 미국 국방부에서 주문형 집적회로(ASIC = Application Specific Integrated Circuit)를 문서화하기 위해 제안되었다. 처음엔 동작을 기술하는 데에서 시작하였지만 반대로 기술된 문서로 회로를 디자인할 순 없을까? 생각하게 되었고 이를 통해 동작할 수 있는 회로를 구성할 수 있게 되었다. 오늘날에는 검증, 시연 등의 다양한 기능을 내포하게 되었다. 특징 특정 기술이나 공정에 대해 독립적- 공통된 기술 언어로 특정 기술이나 공정에 관계..
프로젝트 생성 File > New Project Wizard 저장 경로 및 프로젝트 이름 설정 Board 설정 [보드에 맞는 규격으로 선택] 설정 확인 후에 Finish VHDL 파일 생성 File > New VHDL File 다음과 같은 화면에서 HDL을 기술할 수 있다. HDL 기술이 끝나면 저장 VWF 파일 생성 아래 버튼을 눌러 VWF 파일에 사용될 입출력 정보를 저장하자. File > New University Program VWF를 선택 좌측 박스의 빈공간을 더블클릭 또는 우클릭하여 입출력 정보를 추가하자. Function Simulation Simulation > Options 에서 다음 설정을 해준다. Simulation > function simulation 입력 레벨을 설절한 후 아래 ..
과제 오목을 두는 프로그램을 만들어보자. 주어진 조건은 아래와 같다. 1. 화면에 바둑판을 그려라.2. 좌표를 입력받아 바둑판에 돌을 놓는 프로그램을 작성하라. (돌의 표시는 마음대로 해도 좋다)3. 검은돌과 흰돌을 번갈아 놓도록 수정하라.4. 바둑판에 놓이 검은 돌의 개수와 흰 돌의 개수가 출력되도록 수정하라. 순서도를 그려보자. 과제의 흐름도를 되새겨서 작성해본다. 1. 흑백돌, 승패여부를 나열했다. 12typedef enum target { WHITE = -1, EMPTY = 0, BLACK = 1 } TARGET;typedef enum game { WIN = 1, DRAW = 0, LOSE = -1 } GAME; // white 입장의 승패cs 2. 숫자보단 'A7'과 같은 형태로 작성하면 보기 ..
구조체 1. 2차원 평면에서 점은 (x, y) 좌표로 나타낼 수 있다. 따라서 하나의 점은 다음과 같은 point라는 구조체로 정의할 수 있다. 이 point 구조체를 받아서 다음과 같은 기능을 하는 함수를 작성하고 테스트하라. (a) 두 점의 좌표가 일치하면 1을 반환하고 그렇지 않으면 0을 반환하는 함수 int equal(struct point p1, struct point p2) (b) 점의 좌표를 받아서 이 점이 어떤 사분면에 속하는지를 반환하는 함수, 점이 속하는 사분면의 번호를 반환하는 함수 int quadrant(struct point p) 1234567891011121314151617181920212223242526272829303132333435363738394041#include typ..
- Total
- Today
- Yesterday
- REACT
- delegate
- SQLite
- Next.js
- LINQ
- oracle
- nuxt.js
- Quasar
- RDBMS
- svelte
- gcp
- alpine.js
- .NET
- C#
- node.js
- MySQL
- DevOps
- nosql
- 이진탐색 #중복
- Gatsby.js
- Cloud
- Azure
- JavaScript
- garbage collection
- hoisting
- vue
- Angular
- aws
- vue.js
- PostgreSQL
일 | 월 | 화 | 수 | 목 | 금 | 토 |
---|---|---|---|---|---|---|
1 | ||||||
2 | 3 | 4 | 5 | 6 | 7 | 8 |
9 | 10 | 11 | 12 | 13 | 14 | 15 |
16 | 17 | 18 | 19 | 20 | 21 | 22 |
23 | 24 | 25 | 26 | 27 | 28 |