티스토리 뷰
논리 게이트
논리 게이트 (AND, OR ,NOT 등)을 VHDL로 구현해보자.
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 | library ieee; -- VHDL은 IEEE 국제 표준을 사용한다. use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity and_gate is -- Entity 선언부 는 설계하고자 하는 시스템의 외적 연결을 담당 한다. -- 회로의 내부적인 구조나 연결등을 고려할 필요가 없으며, -- 여기서 정의한 것을 통해 다음 Architecture Body 에서 내부적 동작을 표현한다. -- 즉, 입출력 선을 정의 하는 부분이다. port( A : in std_logic; B : in std_logic; Y : out std_logic -- 선언부 마지막 문장에 세미콜론이 없음에 유의하자. ); end and_gate; architecture BEH of and_gate is -- 시스템의 동작을 세부적으로 정의한다. begin Y <= A and B; end BEH; | cs |
입력 핀이 두개인 경우 위와 같은 구조를 가지며 게이트에 따라 아래 부분을 수정하면 된다.
1 | Y <= A and B; | cs |
1 | Y <= A nor B; | cs |
1 | Y <= A xor B; | cs |
1 | Y <= A xnor B; | cs |
아래와 같이 첨부파일 공유합니다.
'프로그래밍 > Quartus 2' 카테고리의 다른 글
[VHDL] 계층구조 연습, MUX 설계 (0) | 2018.04.02 |
---|---|
[VHDL] COUNTER 설계 (0) | 2018.03.26 |
[VHDL] VHDL 개요 (0) | 2018.03.16 |
[VHDL] 간단한 프로그램 만들기(VHDL) (0) | 2018.03.16 |
[VHDL] 간단한 프로그램 만들기(Schematic) (0) | 2018.03.12 |
댓글
공지사항
최근에 올라온 글
최근에 달린 댓글
- Total
- Today
- Yesterday
TAG
- Next.js
- alpine.js
- REACT
- Quasar
- node.js
- Remix
- nosql
- SQLite
- 이진탐색 #중복
- DevOps
- nuxt.js
- Azure
- RDBMS
- gcp
- svelte
- Gatsby.js
- Angular
- aws
- Cloud
- vue
- PostgreSQL
- oracle
- vue.js
- MySQL
일 | 월 | 화 | 수 | 목 | 금 | 토 |
---|---|---|---|---|---|---|
1 | 2 | 3 | 4 | |||
5 | 6 | 7 | 8 | 9 | 10 | 11 |
12 | 13 | 14 | 15 | 16 | 17 | 18 |
19 | 20 | 21 | 22 | 23 | 24 | 25 |
26 | 27 | 28 | 29 | 30 | 31 |
글 보관함