이번에 외주로 node-html 프로젝트를 하게 되어 이와 관련된 지식을 나누고자 한다. Express 프로젝트 생성 npm의 확장 패키지인 Express를 사용해 프로젝트를 생성한다. 콘솔창에서 새 폴더를 생성할 위치로 이동하여 아래의 명령어를 입력한다. 1express "폴더명"cs Git 연동 git bash에서 위에 생성한 폴더로 이동하여 아래 명령어를 순서대로 입력한다. 1git initcs 1git remote add origin "github 레포지토리(원격 저장소) "cs 1git remote -vcs HTML 사용 설정 express 프로젝트를 생성하면 기본적으로 jade 파일을 사용한다. 따라서 html 파일을 사용할 수 있도록 설정해주는 과정이 필요하다. express 프로젝트의 ap..
Colored By Color Scripter™ 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 #include #include #include //int count; //int sw; void lcd_func(void){ PORTG = 0x01; /..
Realm realm은 안드로이드 내부의 데이터베이스를 이용하는 플랫폼입니다. 공식 홈페이지 : https://realm.io/kr/ 이를 사용하기 위해서 Gradle에 추가해봅시다. 1. 사용하려는 프로젝트를 열고 Gradle(Project : ~) 경로로 이동합니다. 2. 다음의 코드를 추가합니다. 제 경우에는 내장 버전이 5.1.0으로 표시되어 수정하였습니다. classpath "io.realm:realm-gradle-plugin:5.0.0" 3. Sync Now 4. 다음엔 Gradle(App) 경로로 이동합니다. 5. 다음의 코드를 추가합니다. apply plugin: 'realm-android' 6. Sync Now 7. 끝~
1234567891011121314151617181920212223242526272829303132333435363738394041424344454647484950515253545556575859606162636465666768697071727374757677787980818283848586878889909192939495969798#include #include #include #define sei() {#asm("sei")}unsigned char led = 0b00000000;int status = 0;interrupt [2] void int0_func(){ // INT.0의 인터럽트 벡터는 2 if(status == 2){} else if(status == 3){ led = (led>>1)&led..
int0에서 Low level 검출 시 count 값을 하나 증가 count 값을 2진수로 led 표현 count값 초기값은 0, 최대값을 255로 정의 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 #include #include #include unsigned char led = 0; interrupt [2] void add_num(){ // INT.0의 인터럽트 벡터는 2 if(led == 255){ led = 0; PORTB = ~led; delay_ms(300); }else { led = led +1; PORTB = ~led; delay_ms(300); } } void main(){ EIMSK..
- const : 상수표 같은 읽기 전용 변수에 사용 : 혹 const 안붙인 상수 변수중 멤버 하나만 바꿔도, .const/.bss에 메모리 이중으로 잡히고 초기화때 복사도 함 : const char *A; A = "sample"(OK); *A = 'c'(X); A는 상수문자 포인터므로, A가 가리킨 *A는 상수문자이므로 변경불가 : char * const A = "sample"; A[1] = 'i'(OK); A++(X); A는 상수 (문자포인터)므로, 주소값이 상수로 변경불가 - volatile : 외부장치/인터럽트에 의해 변경되는 메모리, 딜레이를 위한 루프 등 일반적인 컴파일러가 변경할 코드를 못 건드리게 함 : 데이터가 휙 날아가거나 일시적이기 때문에 (volatile의 뜻), 컴파일러가 최적화..
1234567891011121314151617181920212223242526272829303132333435363738394041424344454647484950515253545556575859606162636465666768library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity VGA_Pattern_Generator is port( nRst : in std_logic; clk : in std_logic; VGA_CLK : out std_logic; VGA_BLANK : out std_logic; VGA_HS : out std_logic; VGA_VS : o..
1초 생성기 12345678910111213141516171819202122232425262728293031323334353637383940414243library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity sec_gen is port( nRst : in std_logic; clk : in std_logic; sec_sig : out std_logic );end sec_gen; architecture BEH of sec_gen is signal cnt : std_logic_vector(31 downto 0); signal sig : std_logic; begin..
계층 구조(Hierarchy structure) 전체 시스템의 설계를 하나의 디자인이 아닌 기능별로 구분된 최소 모듈(블록)단위로 설계하고 이를 Top-Down 구조로 연결하거나, 협업/분업 설계(Bottom-Up)설계하여 완성시키는 방식 COMPONENT(AND GATE) 1234567891011121314151617library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity and_gate is port( A : in std_logic; B : in std_logic; Y : out std_logic );end and_gate; architecture BEH o..
- Total
- Today
- Yesterday
- node.js
- PostgreSQL
- Gatsby.js
- Quasar
- REACT
- Next.js
- aws
- nosql
- nuxt.js
- MySQL
- SQLite
- Cloud
- DevOps
- oracle
- Azure
- Remix
- vue
- Angular
- gcp
- svelte
- alpine.js
- vue.js
- 이진탐색 #중복
- RDBMS
일 | 월 | 화 | 수 | 목 | 금 | 토 |
---|---|---|---|---|---|---|
1 | 2 | 3 | 4 | |||
5 | 6 | 7 | 8 | 9 | 10 | 11 |
12 | 13 | 14 | 15 | 16 | 17 | 18 |
19 | 20 | 21 | 22 | 23 | 24 | 25 |
26 | 27 | 28 | 29 | 30 | 31 |